Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

(a) Engineers at Syarikat Pelopor Teknologi Sdn, Bhd, was commissioned to design a chili processing machine to be marketed soon. The smart operation has a

image text in transcribed

(a) Engineers at Syarikat Pelopor Teknologi Sdn, Bhd, was commissioned to design a chili processing machine to be marketed soon. The smart operation has a chili input slot that can produce chili pieces or chili paste by pressing the option button for the output. There is no input limit on the chili input slot where it will continue to produce the desired chili until no more chili is detected and the machine will stop automatically. But each process only performs one function at a time. To continue the process or change the chili output of the process the process will start from the beginning. Through this statement, design a Finite State Machine (FSM) circuit using a D flip flop. (17marks) (b) As an engineer, you have a task to design an autonomous vehicle with obstacle detector. The vehicle route start at point A and will successfully finish when reach at point F. The detector will give signal " " if some object detected while signal " 0 " if no object detected. Both conditions will cause the FSM controlling the vehicle to advance to a new state namely point B and C, respectively. After that, the vehicle will reach the destination which is point F and remain there when it does not detect any obstacle for next two point: - from point B to point D and then point F, or - from point C to point E and then point F. However, if the vehicle detects an obstacle, it will go back to their present point. To complete the task, you have to design the FSM circuit for this autonomous velicle using T fip-flops: [17 marks]

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

Oracle RMAN For Absolute Beginners

Authors: Darl Kuhn

1st Edition

1484207637, 9781484207635

More Books

Students also viewed these Databases questions