Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

Can someone write a test bench for this d flip flop in verilog D-flip-flop (DFF) with enable and reset store a single bit module dff_async_reset

Can someone write a test bench for this d flip flop in verilog

D-flip-flop (DFF) with enable and reset store a single bit

module dff_async_reset (q, data, clk, reset, enable);

input data , clk, reset, enable;

output reg q;

always @ ( posedge clk or negedge reset)

if (~reset) begin

q <= 1'b0;

end

else if (enable) begin

q <= data;

end

endmodule

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access with AI-Powered Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Students also viewed these Databases questions