Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

Identify the Error in the system verilig code and Rectify It class transaction _ A; bit [ 3 1 : 0 ] data; int id;

Identify the Error in the system verilig code and Rectify It
class transaction_A;
bit [31:0] data;
int id;
transaction_B tr_B = new();
function void display();
$display("transaction_A: data =%0d and id =%0d", data, id);
$display("transaction_B: addr =%0d", tr_B.addr);
endfunction
endclass
class transaction_B;
bit [31:0] addr =200;
endclass
module class_example;
initial begin
tr_A = new();
tr_A.data =100;
tr_A.id =1;
tr_A.display();
end
endmodule

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

Moving Objects Databases

Authors: Ralf Hartmut Güting, Markus Schneider

1st Edition

0120887991, 978-0120887996

More Books

Students also viewed these Databases questions

Question

A 2-gallon bucket of paint costs $51.52. What is the price per pint

Answered: 1 week ago

Question

=+5. What do you want them to think?

Answered: 1 week ago

Question

=+What the product does for the end-user.)

Answered: 1 week ago