Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

Question: Design 8 - bit Serial Adder with Accumulator using components of full adder, D - FF , serial adder control circuit, and 8 -

Question: Design 8-bit Serial Adder with Accumulator using components of full adder, D-FF, serial adder control circuit, and 8-bit shift register. The 8-bit shift register has serial in, shift right, load, and clock as one-bit inputs, d as 8-bit input, and q as 8-bit output. The main design has load, start, clock as one-bit inputs, a & b as 8-bit inout.
Please upload the VHDL code as text,
screen shots of RTL viewer & Technology map viewer, and simulation results using quar _tus (Quar_tus Pri~me ) if you can.
Use these codes below to solve the question. Please do not use AI tools to write the code
(shift_reg_4b.vhd):
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
entity shift_reg_4b is
port (d: in bit_vector (3 downto 0);
sh, ld, sin, clk: in bit;
q: out bit_vector (3 downto 0));
end shift_reg_4b;
architecture shift_reg_4b of shift_reg_4b is
signal qint: bit_vector (3 downto 0);
begin
process (clk)
begin
if (clk'event and clk='1') then
if ld='1' then
qint=d;
elsif sh='1' then
qint= sin&qint(3 downto 1);
end if;
end if;
end process;
q= qint;
end shift_reg_4b;
----------------------------------------
(dff.vhd) :
entity dff is
port (rst, clk, d: in bit;
q: out bit);
end dff;
architecture dff of dff is
signal q_int: bit;
begin
process (rst, clk, d)
begin
if rst ='0' then
q_int='0';
elsif (clk'event and clk='1') then
q_int= d;
end if;
end process;
q= q_int;
end dff;
------------------------------------------------------
(FA.vhd) :
entity FA is
port (x, y, ci: in bit;
s, co: out bit);
end FA;
architecture FA of FA is
begin
s= x xor y xor ci;
co=(x and y) or (x and ci) or (y and ci);
end FA;
-----------------------------------------------------------------------
(SA_CC.vhd):
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
entity SA_CC is
port(st, clk: in bit;
sh: out bit);
end SA_CC;
architecture SA_CC of SA_CC is
signal PS, NS: integer range 0 to 3;
begin
process (PS, st)
begin
case PS is
when 0=>if st='1' then
sh='1';
NS=1;
else
sh='0';
NS=0;
end if;
when 1 to 2=> sh='1';
NS= ps+1;
when 3=> sh='1';
NS=0;
end case;
end process;
process(clk)
begin
if clk'event and clk='1' then
PS= NS;
end if;
end process;
end SA_CC;
image text in transcribed

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

Bioinformatics Databases And Systems

Authors: Stanley I. Letovsky

1st Edition

1475784058, 978-1475784053

More Books

Students also viewed these Databases questions