Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

Can someone tell me what is wrong with this Java coding? package com.wilfrid.main; import java.util.Scanner; public class Simulation { public static void main(String[] args) {

Can someone tell me what is wrong with this Java coding?

package com.wilfrid.main;

import java.util.Scanner;

public class Simulation {

public static void main(String[] args) { try { getInput(); } catch (EvenNumberException e){ e.printStackTrace(); } }

public static void getInput() { Scanner in = new Scanner(System.in);

//get input from user System.out.println("Type in a number and press Enter..."); String line = in.nextLine(); System.out.println("You've typed: " + line);

//test if number is even, throw exception if true if (isEven(line)) { throw new EvenNumberException(); }

//close resources in.close(); }

public static boolean isEven(String num) { //convert value to a number int value = Integer.parseInt(num);

return (value % 2 == 0); } public static void getInput() throws EvenNumberException{ Scanner in = new Scanner(System.in);

//get input from user System.out.println("Type in a number and press Enter..."); String line = in.nextLine(); System.out.println("You've typed: " + line);

//test if number is even, throw exception if true if (isEven(line)) { try { throw new EvenNumberException(); } finally { in.close(); } }

//close resources in.close();

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Students also viewed these Databases questions

Question

What are the clinical features of Tourettes disorder?

Answered: 1 week ago

Question

What is job enlargement ?

Answered: 1 week ago

Question

what is the most common cause of preterm birth in twin pregnancies?

Answered: 1 week ago

Question

Which diagnostic test is most commonly used to confirm PROM?

Answered: 1 week ago

Question

What is the hallmark clinical feature of a molar pregnancy?

Answered: 1 week ago

Question

Methods of Delivery Guidelines for

Answered: 1 week ago