Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

Comments are important they are The code must use meaningful module and variable names and modular programming. Project Description: The project is based on modeling

image text in transcribedimage text in transcribedimage text in transcribed

Comments are important they are The code must use meaningful module and variable names and modular programming.

Project Description: The project is based on modeling and implementing a Controller Circuit for Turn Signal and Hazard Lights of a Modern Car. Assume a car has 4 right indicator lights and similarly 4 left indicator lights. When turn signal lever is up, means turn ON right indicator lights and when turn signal lever is down, means turn ON left indicator lights. When hazard switch is pressed, means both right and left indicator lights will turn ON. Turn lever signal will be implemented by two switches on the FPGA board and hazard button with a third switch. Use switch SW0 for right turn, use switch SW15 for Left turn and use SW1 for Hazard. Figure 1: Turn signal lever (left) and Hazard switch (right) commonly used in cars When right indicator switch (SW0) is turn ON, the right indicator lights will follow the pattern as shown in Figure 2 at a speed of 1Hz (exact) frequency. Similarly, when left indicator switch (SW15) is turn ON, the left indicator lights will follow the same pattern but in opposite direction. But when hazard switch (SW1) is ON, both right and left lights will turn ON and follow the patterns from inside to outside. NOTE: When both switches SW0 and SW15 are turn ON at the same time, none of the LED should turn ON. In addition to that when right indicator lights turn ON, right Green light (led16_g) should blink at a speed of 1Hz (exact) frequency and when left indicator lights turn ON, left Green light (led17_g) should blink. When hazard switch is turn ON, both Red lights (led16_r \& led17_r) should blink together. There should be a switch (SW14) to change the frequency from 1Hz to 2Hz. In this case, pattern will be same but their blinking speed will increase. The circuit should have "reset" and "enable" inputs also. Figure 2: Pattern of LEDs turning ON on FPGA Board for right turn and repeat until switch turns OFF A correct simulation is the most important part of the project to show that your code/circuit is correct. Show the simulations of your different modules, whatever you have. Circuit Efficiency: The efficient/good design of circuit will be the one using lesser resources in the FPGA boards in terms of look-up table (LUTs), registers, IOBs, etc

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

Larry Ellison Database Genius Of Oracle

Authors: Craig Peters

1st Edition

0766019748, 978-0766019744

More Books

Students also viewed these Databases questions