Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

i NEED HELP ASAP PLEASE! Especially with question 8! Thank you very much!! 1. 2. Start-up Quartus II. This window gives you access to an

image text in transcribed

image text in transcribedimage text in transcribed

image text in transcribed

i NEED HELP ASAP PLEASE! Especially with question 8! Thank you very much!!

1. 2. Start-up Quartus II. This window gives you access to an integrated suite of CAD tools To save files for this lab, create subdirectories mux, decode, encod, and johns in your work 3. Enter the name of the first project, mux, by clicking on File then Project on the pull down menu and then Name on the subsequent pull down menu. Type the Project Name, and click OK Open Text Editor and type the VHDL file from Figure 6.28 of the textbook. Save the file as mux.vhd Start the compiler. Fix any errors and re-compile. Once the file compiles without errors, go to the next step. Copy the file mux.vhd to a usb drive Repeat steps 3-5 for the remaining examples. Use files from the following figures accordingly (see textbook): 5. 6. i. decod- ii encod - ii. johns - Figure 6.30 Figure 6.41 Figure 2 (In this Manual) 7. The last example shows one of the ways of implementing the Johnson counter. The last six digits of the student identification number must be represented by a four-bit vector variable STUDENT ID which will be displayed cyclically in sequence with Johnson counter output. Oreg is an internal signal which can be fed back to the D's or fed out to Q. Prepare a Truth Table for Johnson Counter for 6 clock cycles. 8. 1. 2. Start-up Quartus II. This window gives you access to an integrated suite of CAD tools To save files for this lab, create subdirectories mux, decode, encod, and johns in your work 3. Enter the name of the first project, mux, by clicking on File then Project on the pull down menu and then Name on the subsequent pull down menu. Type the Project Name, and click OK Open Text Editor and type the VHDL file from Figure 6.28 of the textbook. Save the file as mux.vhd Start the compiler. Fix any errors and re-compile. Once the file compiles without errors, go to the next step. Copy the file mux.vhd to a usb drive Repeat steps 3-5 for the remaining examples. Use files from the following figures accordingly (see textbook): 5. 6. i. decod- ii encod - ii. johns - Figure 6.30 Figure 6.41 Figure 2 (In this Manual) 7. The last example shows one of the ways of implementing the Johnson counter. The last six digits of the student identification number must be represented by a four-bit vector variable STUDENT ID which will be displayed cyclically in sequence with Johnson counter output. Oreg is an internal signal which can be fed back to the D's or fed out to Q. Prepare a Truth Table for Johnson Counter for 6 clock cycles. 8

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

SQL Instant Reference

Authors: Gruber, Martin Gruber

2nd Edition

0782125395, 9780782125399

More Books

Students also viewed these Databases questions

Question

Evaluate three pros and three cons of e-prescribing

Answered: 1 week ago