Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

module dff (clk, reset, d, q, qb); //write required parts here reg q; //write required parts here always @(posedge clk or posedge reset) begin if

module dff (clk, reset, d, q, qb); //write required parts here reg q; //write required parts here always @(posedge clk or posedge reset) begin if (reset) begin q <= 1'b1; end else begin q <= d; end end endmodule
 

module test; //write required parts here dff DFF(.clk(clk), .reset(reset), .d(d), .q(q), .qb(qb)); initial begin $dumpfile("dump.vcd"); $dumpvars(1); $display("Reset flop."); clk = 0; reset = 1; d = 1'bx; display; $display("Release reset."); d = 1; reset = 0; display; $display("Toggle clk."); clk = 1; display; end task display; #1 $display("d:%0h, q:%0h, qb:%0h", d, q, qb); endtask endmodule

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

Advances In Databases And Information Systems 25th European Conference Adbis 2021 Tartu Estonia August 24 26 2021 Proceedings Lncs 12843

Authors: Ladjel Bellatreche ,Marlon Dumas ,Panagiotis Karras ,Raimundas Matulevicius

1st Edition

3030824713, 978-3030824716

More Books

Students also viewed these Databases questions