Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

Needs to be two vhdl files : LED_control and PB_transform Component #1: Create a VHDL component that has the following Entity entity LED-control is pocl

image text in transcribedimage text in transcribed

image text in transcribed

Needs to be two vhdl "files" : LED_control and PB_transform

Component #1: Create a VHDL component that has the following Entity entity LED-control is pocl reset in std logic PB Sw LED_reg n stdlogic.vector 3 downto LED- : out-std-1 ogic-vector(7-downto-0 : in std-logic; :in std logic in std 1ogicvector system clock -system reset -Pushbutton to change state (hardware vs software control) -slide Switches on the DE10 downto 0): Q:--s LED register --LEDs on the DE10 end entity LED_control; The LED control component must implement the following functionality: 1. It must implement a state machine with two states. a. State 1-> hardware control, i.e. LED SW (3 downto 0); b. State 2 => software control, i.e. LED LED-reg(3 downto 0); C. Pressing a pushbutton (PB) changes state d. LED(7) on>State 1 active (LED6 off) e. LED(6) on => State 2 active (LED7 off)

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

More Books

Students also viewed these Databases questions