Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

( 3 ) ( 2 0 pts ) Assume the 3 - state FSM in problem ( 2 ) is correctly implemented as the VHDL

(3)(20pts) Assume the 3-state FSM in problem (2) is correctly implemented as the VHDL entity FSM. You must
complete VHDL Test Bench code for the entity FSM to go through every state transition at least once in this state
sequence for 8 clocks:
ACCABCABC
(a) The FSM is started in state A in the entity FSM. List a binary sequence on input W for 8clk edges to get
exactly the state sequence above and to go through every state transition:
W=
(b) Complete the following VHDL Test Bench code. The declaration of the component FSM is already filled in to
show the I/O ports for the entity FSM.
Fill in the Test Bench signal declarations. Use the same names as the FSM I/O ports. Initialize all signals to 0.
Fill in the uut instantiation.
Complete the clock_process and the W_process. The simulated clk must be 10MHz.
PLEASE ANSWER ALL PARTS
image text in transcribed

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access with AI-Powered Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Students also viewed these Databases questions

Question

Revenue and cash will always be the same amount

Answered: 1 week ago