Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

Please simulate in QUARTUS II only. Show the schematic capture and simulation for both. part 1. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity nandgates is Port (

Please simulate in QUARTUS II only. Show the schematic capture and simulation for both.

part 1.

library IEEE; use IEEE.STD_LOGIC_1164.ALL;

entity nandgates is Port ( w : in STD_LOGIC; x : in STD_LOGIC; y : in STD_LOGIC; z : in STD_LOGIC; f1 : out STD_LOGIC; f2 : out STD_LOGIC); end nandgates;

architecture Behavioral of nandgates is component nand_1 is port(A,B: in std_logic; C: out std_logic); end component nand_1; signal p,q,s1,s2 : std_logic; begin p<= not w; q<= not x;

x1: nand_1 port map(p,q,s1); x2: nand_1 port map(q,z,s2); X3: nand_1 port map(s1,s2,f1);

end Behavioral;

part 2:

entity part2 is Port ( w,x,y,z: in STD_LOGIC; f1 : out STD_LOGIC); end part2; architecture Behavioral of part2 is begin process (w,x,y,z) variable v_CONCATENATE : std_logic_vector(3 downto 0); begin v_CONCATENATE := w&x&y&z; case v_CONCATENATE is when "0000" => f1<= '1'; when "0001" => f1<= '0'; when "0010" => f1 <= '1'; when "0011" => f1 <= '1'; end case; end process; end Behavioral;

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access to Expert-Tailored Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Recommended Textbook for

Oracle Database Foundations Technology Fundamentals For IT Success

Authors: Bob Bryla

1st Edition

0782143725, 9780782143720

More Books

Students also viewed these Databases questions

Question

what is the most common cause of preterm birth in twin pregnancies?

Answered: 1 week ago

Question

Which diagnostic test is most commonly used to confirm PROM?

Answered: 1 week ago

Question

What is the hallmark clinical feature of a molar pregnancy?

Answered: 1 week ago