Answered step by step
Verified Expert Solution
Link Copied!

Question

1 Approved Answer

You are given the following simulation output. Fill in the blanks in the given Verilog module and the testbench. Verilog module: module test ( output

You are given the following simulation output. Fill in the blanks in the given Verilog module and the testbench.
Verilog module:
module test(output [1:0] Q, input x, input clock, input reset);
reg [1:0] state;
parameter SO=2'b00,S1=2'b01,
S2=2'b10, S3=2'b11;
always @ (posedge clock, negedge reset)
if(!reset) state=S0;
else case(state)
S0: if (x) state
; else state=
S1: if (x) state=
; else state=
S3: if(x) state=
; else state=
endcase
assign Q=state;
endmodule
Testbench:
module t_test;
reg x; reg clock; reg reset;
wire [1:0] Q;
test uut (Q,x,clock,reset);
initial begin
x=1; clock=0; reset=0;
#1; reset =1;
#
; reset=0;
x=0;
#1; reset=1;
end
always #
clock= clock;
initial #
image text in transcribed

Step by Step Solution

There are 3 Steps involved in it

Step: 1

blur-text-image

Get Instant Access with AI-Powered Solutions

See step-by-step solutions with expert insights and AI powered tools for academic success

Step: 2

blur-text-image

Step: 3

blur-text-image

Ace Your Homework with AI

Get the answers you need in no time with our AI-driven, step-by-step assistance

Get Started

Students also viewed these Databases questions